Intel purchases the industry's first next-generation chipmaking gear to recapture market share.Intel purchases the industry's first next-generation chipmaking gear to recapture market share.

Intel has ordered the first of a new generation of chipmaking machines from Dutch specialist ASML as part of its attempt to recapture processor manufacturing leadership by 2025, the firms announced Wednesday. The Twinscan EXE:5200 device is expected to be delivered in 2024, with operations beginning in 2025.

According to ASML estimates, such machines, which cost on average $340 million each, are vital to accelerating processor progress. Miniaturizing semiconductors are becoming increasingly complex, but it is critical for computer companies that rely on advancements in cellphones, PCs, and data centers.

Intel has led the chip manufacturing market for decades, steadily decreasing chip circuitry to allow processors to handle ever-increasing amounts of work. Although other companies such as GlobalFonudries and IBM chose not to stay on the cutting edge, Intel’s production issues caused it to lose ground to Taiwan Semiconductor Manufacturing Co. (TSMC) and Samsung.

Inscribing ever tiny circuitry onto silicon wafers is critical in chip downsizing. Photolithography, which means “writing on stone with light,” is the crucial portion of this process, and ASML develops the machines that manage it. Samsung and TSMC have switched to extreme ultraviolet (EUV) light for this process, which has a shorter wavelength and can inscribe finer patterns that eventually become a processor’s transistors and wires.

Intel was late to implement EUV lithography, but now that an engineer is in control again, Chief Executive Pat Gelsinger is focused on speeding things up. According to him, Intel would be the first to order a more advanced EUV tool with a high numeric aperture (high NA) to inscribe even more refined features. ASML announced on Tuesday that it had received its first order, and Intel confirmed on Wednesday that it was the purchaser.

Intel would not comment on the cost of the tool.

Being first in line does not imply that you will succeed. With so many facets to chipmaking, bringing a new manufacturing method to volume production can take years, and Intel is behind the curve on first-gen EUV. Nevertheless, Intel plans to release its first EUV processors this year, followed by high NA EUV chips in 2025.

Processor manufacturers are pouring money into new chip fabrication facilities, or fabs, due to a global chip scarcity and the proliferation of processors in our daily lives. For example, Intel is planning $100 billion “mega fab” locations in the United States and Europe, while TSMC said it will invest $40 billion to $44 billion on new fabs this year.

In the future, Intel plans to implement several new manufacturing technologies. Intel 7 is the latest version, and it’s utilized to make new Alder Lake processors. Intel 4 will debut in chips in early 2023, bringing EUV lithography to Intel products, while Intel 3 will follow in late 2023. Intel 20A will follow in 2024, followed by Intel 18A, which has a high NA EUV, in 2025.

Leave a Reply

Your email address will not be published. Required fields are marked *